CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LED verilog

搜索资源列表

  1. led

    0下载:
  2. fpga led verilog language code
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:210251
    • 提供者:vi
  1. verilog电子琴

    0下载:
  2. 用verilog编写的电子琴,用8个按键实现8个音调,并控制相应led灯闪烁,集成了按键消抖功能
  3. 所属分类:源码下载

    • 发布日期:2011-02-03
    • 文件大小:13198022
    • 提供者:ikedodo
  1. 4位7段led灯控制

    0下载:
  2. 4位7段led灯的控制,0000-9999动态显示
  3. 所属分类:VHDL编程

  1. led

    0下载:
  2. 适用于FPGA初学者,一个流水灯的程序,用VERILOG语言写的.-Applicable to FPGA beginners, a procedure for light water, using the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:218067
    • 提供者:
  1. code

    0下载:
  2. Verilog的LED控制器源程序,用于LED显示屏幕的控制。-Verilog source code of the LED controller for the LED display screen control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2059
    • 提供者:
  1. veriloghdlcsdm

    0下载:
  2. 用verilog hdl 硬件描述语言写的一个范例程序,led的,扩展性极强,欢迎大家下载使用。-Verilog hdl using hardware descr iption language to write an example of the procedure, led, and highly scalable, welcome to download.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1071
    • 提供者:zhangying
  1. led

    0下载:
  2. Verilog 流水灯程序 这个可以作为初级入门练习使用,产生感性认识!-Verilog flow light program that can be used as the primary entry to practice, resulting in perceptual knowledge!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:312274
    • 提供者:xiaoming
  1. LED

    0下载:
  2. verilog实现fpga easy030的led闪动-verilog,fpga easy030,led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:247921
    • 提供者:caichao
  1. EDA

    0下载:
  2. verilog 练习的 基本程序 流水灯 ,PWM ,按键消抖,等基本程序 ,经过 一一验证-practice the basic procedures verilog water lights, PWM, key debounce, and other basic procedures, after only verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18572217
    • 提供者:谷向前
  1. DE2-VGA-LED

    0下载:
  2. verilog HDL 语言编写的,FPGA的数码管和VGA的显示。调用时不必修改源码,只需引脚映射对就可以-verilog HDL language, FPGA digital and VGA display. Call without having to modify source code, you can just pin on the map
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5794076
    • 提供者:
  1. led

    0下载:
  2. quartus 工程 测试硬件LED Verilog 源码-the quartus engineering test the hardware LED Verilog source
  3. 所属分类:Other systems

    • 发布日期:2017-11-09
    • 文件大小:2394452
    • 提供者:sam
  1. LED-verilog

    0下载:
  2. 利用verilog语言点亮led灯,基于2410开发板-The use of the verilog language lights led lights, based on the 2410 development board
  3. 所属分类:Document

    • 发布日期:2017-11-20
    • 文件大小:56694
    • 提供者:lywshz
  1. 69122349lift_controler-verilog

    0下载:
  2. 实现电梯控制,一到七楼,通过led实现层数,数码管显示数字。(Through simulating elevator hoistway information, elevator controller is tested and assessed)
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:664576
    • 提供者:不悔妹妹
  1. LED_200T

    0下载:
  2. 本工程包含了一个LED灯测试程序,可以任意更改测试模式。(This project contains a LED lamp test program, you can change the test mode at any time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:
    • 文件大小:2680832
    • 提供者:yaong
  1. LED

    1下载:
  2. 基于XILINX的3S250E的Verilog关于LED源代码(XILINX based on the 3S250E Verilog on the LED source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:452608
    • 提供者:fly_ant
  1. led_test

    0下载:
  2. 实现流水灯的控制verilog程序,源程序vivado 2015.4(Achieve water light control, Verilog procedures)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:7444622
    • 提供者:win1234
  1. LED

    0下载:
  2. 流水灯实例,8个LED循环点亮,实现流水灯效果(Water lamp example, 8 LED cycle lights, to achieve the effect of water lamp)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-23
    • 文件大小:184320
    • 提供者:航天梦
  1. Verilog led

    0下载:
  2. Xilinx ISE开发平台实现4位的led灯循环点亮源代码,测试文件及约束(4 bit LED lamp cycle lighting)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:29696
    • 提供者:韩么韩
  1. LED

    0下载:
  2. 利用verilog语言,在FPGA开发版上点亮LED灯(Using verilog language, LED lights on the FPGA development version)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:182272
    • 提供者:wz2016520157
  1. KEY

    0下载:
  2. 使用verilog编写的用按键控制LED灯,对于初学者是很好的锻炼(Using the key to control the LED lamp with Verilog is a good exercise for the beginner.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1286144
    • 提供者:记忆中的我
« 12 3 4 5 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com